Loading data. Please wait

IEEE 1364

Verilog® hardware description language

Số trang: 590
Ngày phát hành: 2005-00-00

Liên hệ
Revision Standard - Inactive - Superseded.The Verilog hardware description language (HDL) is defined in this standard. Verilog HDL is a formal notation intended for use in all phases of the creation of electronic systems. Because it is both machine-readable and human-readable, it supports the development, verification, synthesis, and testing of hardware designs; the communication of hardware design data; and the maintenance, modification, and procurement of hardware. The primary audiences for this standard are the implementers of tools supporting the language and advanced users of the language.
Số hiệu tiêu chuẩn
IEEE 1364
Tên tiêu chuẩn
Verilog® hardware description language
Ngày phát hành
2005-00-00
Trạng thái
Hết hiệu lực
Tiêu chuẩn tương đương
IEC 62142*CEI 62142 (2005-06), IDT
Verilog® register transfer level synthesis
Số hiệu tiêu chuẩn IEC 62142*CEI 62142
Ngày phát hành 2005-06-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
Tiêu chuẩn liên quan
IEEE 754*ANSI 754 (1985)
Binary floating-point arithmetic
Số hiệu tiêu chuẩn IEEE 754*ANSI 754
Ngày phát hành 1985-00-00
Mục phân loại 07.020. Toán học
35.020. Công nghệ thông tin nói chung
Trạng thái Có hiệu lực
* ANSI X 9.52 (1998) * IEEE 1003.1 (2004) * IEEE 1364 (2001) * ISO/IEC 10118-3 (2004-03)
Thay thế cho
IEEE 1364 (2001)
Verilog® hardware description language
Số hiệu tiêu chuẩn IEEE 1364
Ngày phát hành 2001-00-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
* IEEE 1364 Errata (2003-11-26)
Verilog® hardware description language; Errata
Số hiệu tiêu chuẩn IEEE 1364 Errata
Ngày phát hành 2003-11-26
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
* IEEE 1364.1 (2002)
Verilog® Register Transfer Level Synthesis
Số hiệu tiêu chuẩn IEEE 1364.1
Ngày phát hành 2002-00-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
Thay thế bằng
Lịch sử ban hành
IEEE 1364 (2005)
Verilog® hardware description language
Số hiệu tiêu chuẩn IEEE 1364
Ngày phát hành 2005-00-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
* IEEE 1364 (2001)
Verilog® hardware description language
Số hiệu tiêu chuẩn IEEE 1364
Ngày phát hành 2001-00-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
* IEEE 1364 (1995)
Hardware description language based on the Verilog® hardware description language
Số hiệu tiêu chuẩn IEEE 1364
Ngày phát hành 1995-00-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
* IEEE 1364 Errata (2003-11-26)
Verilog® hardware description language; Errata
Số hiệu tiêu chuẩn IEEE 1364 Errata
Ngày phát hành 2003-11-26
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
* IEEE 1364.1 (2002)
Verilog® Register Transfer Level Synthesis
Số hiệu tiêu chuẩn IEEE 1364.1
Ngày phát hành 2002-00-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
Từ khóa
Access * Access controls * Computer hardware * Computer systems configuration * Data processing * Definitions * Descriptions * Design * Digital * Electronic systems * Examples * Functional descriptions * Functions * Hardware * Interfaces * Programming languages * Semantics * Simulation * Syntax * Verilog * Interfaces of electrical connections * Mechanical interfaces * Presentations * Interfaces (data processing)
Số trang
590