Loading data. Please wait

IEEE 1800

System verilog - Unified hardware design, specification, and verification language

Số trang: 664
Ngày phát hành: 2005-00-00

Liên hệ
New IEEE Standard - Inactive - Superseded.Draft Version D3a - This standard represents a merger of two previous standards: IEEE 1364-2005 Verilog hardware description language (HDL) and IEEE 1800-2005 SystemVerilog unified hardware design, specification and verification language. The 2005 SystemVerilog standard defines extensions to the 2005 Verilog standard. These two standards were designed to be used as one language. Merging the base Verilog language and the SystemVerilog extensions into a single standard enables users to have all information regarding syntax and semantics in a single document.
Số hiệu tiêu chuẩn
IEEE 1800
Tên tiêu chuẩn
System verilog - Unified hardware design, specification, and verification language
Ngày phát hành
2005-00-00
Trạng thái
Hết hiệu lực
Tiêu chuẩn tương đương
IEC 62530*CEI 62530 (2007-11), IDT
Standard for SystemVerilog - Unified hardware design, specification and verification language
Số hiệu tiêu chuẩn IEC 62530*CEI 62530
Ngày phát hành 2007-11-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
* ANSI/IEEE 1800 (2005), IDT * BS IEC 62530 (2007-12-31), NEQ * IEC 93/252/FDIS (2007-06), IDT * NEN-IEC 62530:2007 en (2007-12-01), IDT
Tiêu chuẩn liên quan
IEEE 754*ANSI 754 (1985)
Binary floating-point arithmetic
Số hiệu tiêu chuẩn IEEE 754*ANSI 754
Ngày phát hành 1985-00-00
Mục phân loại 07.020. Toán học
35.020. Công nghệ thông tin nói chung
Trạng thái Có hiệu lực
* IEEE 1364 (1995)
Hardware description language based on the Verilog® hardware description language
Số hiệu tiêu chuẩn IEEE 1364
Ngày phát hành 1995-00-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
* IEEE 1364 (2001)
Verilog® hardware description language
Số hiệu tiêu chuẩn IEEE 1364
Ngày phát hành 2001-00-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
Thay thế cho
Thay thế bằng
IEEE 1800 (2009)
SystemVerilog - Unified hardware design, specification, and verification language
Số hiệu tiêu chuẩn IEEE 1800
Ngày phát hành 2009-00-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
Lịch sử ban hành
IEEE 1800 (2005)
System verilog - Unified hardware design, specification, and verification language
Số hiệu tiêu chuẩn IEEE 1800
Ngày phát hành 2005-00-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
* IEEE 1800 (2009)
SystemVerilog - Unified hardware design, specification, and verification language
Số hiệu tiêu chuẩn IEEE 1800
Ngày phát hành 2009-00-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
* IEEE 1800 (2012)
IEEE Standard for SystemVerilog - Unified Hardware Design, Specification, and Verification Language
Số hiệu tiêu chuẩn IEEE 1800
Ngày phát hành 2012-00-00
Mục phân loại 35.060. Ngôn ngữ dùng trong công nghệ thông tin
Trạng thái Có hiệu lực
* IEEE 1800 (2005)
Từ khóa
Access * API * Application Program Interface * Automation * Computer hardware * Data formats * Data models * Data processing * Data transfer * Data types * Descriptions * Design * Electronic engineering * Electronic systems * File structure * Files * Functional descriptions * Hardware * Information processing * Interfaces (data processing) * Programmes * Programming * Programming languages * Semantics * Simulation * Verilog * Interfaces of electrical connections * Mechanical interfaces * Presentations * Interfaces
Số trang
664